應(yīng)用

技術(shù)

物聯(lián)網(wǎng)世界 >> 物聯(lián)網(wǎng)新聞 >> 物聯(lián)網(wǎng)熱點新聞
企業(yè)注冊個人注冊登錄

實現(xiàn)2nm工藝突破,臺積電為何能給“摩爾定律”續(xù)命?

2020-11-24 10:17 腦極體

導(dǎo)讀:疊加疫情下電子消費產(chǎn)品增長等因素,臺積電將在今年迎來超過全球晶圓代工廠的產(chǎn)業(yè)成長率高出 10%的增長。

今年,因為美國對華為、中芯國際的禁令的關(guān)系,臺積電這家原本處在芯片產(chǎn)業(yè)的后端的芯片制造企業(yè),被一次又一次地搬到聚光燈前,接受外界對其里里外外的檢視。

恰好此時,臺積電正在迎來其發(fā)展的高光時刻。雖然在 9 月份華為禁令生效之后,臺積電失去了華為的大額訂單,但是空出來的產(chǎn)能立刻被蘋果、高通等公司瓜分,并處在滿載生產(chǎn)狀態(tài)。疊加疫情下電子消費產(chǎn)品增長等因素,臺積電將在今年迎來超過全球晶圓代工廠的產(chǎn)業(yè)成長率高出 10%的增長。

特別是臺積電領(lǐng)先其他晶圓代工廠的 5nm\7nm 制程的營收占比超過 43%,營收能力堪比“印鈔機”。與此同時,臺積電在更先進制程的研發(fā)和設(shè)備投入上更加不遺余力。

為應(yīng)對新制程工藝產(chǎn)能擴大的需求,臺積電已經(jīng)向 ASML 訂購了新的 13 臺極紫外(EUV)光刻機,要求在 2021 年全部交付。據(jù)估算,13 套 EUV 可能使臺積電花費高達 22.84 億美元。同時,臺積電剛剛決定明年起大幅漲薪達 20%,一方面為激勵員工,一方面為招攬人才、避免被其他對手高薪挖人,用真金白銀來留住那些愿意繼續(xù)為造芯“爆肝”的工程師們。

在一片繁榮的商業(yè)前景之下,臺積電在更先進制程的技術(shù)布局上面也保持著領(lǐng)先。據(jù)臺灣媒體報道,近日臺積電在2nm工藝制程上取得了重大突破,研發(fā)進度超越預(yù)期,有望在 2023 年下半年,風(fēng)險試產(chǎn)的良率可以達到 90%。

相比較于關(guān)注熱鬧的當(dāng)下,我們不妨追蹤這條技術(shù)線索,來看下臺積電保持先進制程工藝的經(jīng)驗,看下它是如何來為游走在失效邊緣的摩爾定律來“續(xù)命”的。

2nm已突破,1nm 也沒問題

我們先從技術(shù)層面來看下臺積電這次制程工藝的突破。

臺積電在2nm制程工藝上的突破,來自于采用了全新的 GAA 晶體管架構(gòu)。區(qū)別于 3nm 和 5nm 制程所采用的鰭式場效晶體管(FinFET)架構(gòu),這次 2nm 改用了全新的多橋通道場效晶體管(MBCFET)架構(gòu),這一架構(gòu)是以環(huán)繞閘極(GAA)制程為基礎(chǔ)的架構(gòu),可以解決 FinFETch 因為制程微縮而產(chǎn)生的電流控制漏電等物理極限問題。

可以說,GAA 制程工藝的出現(xiàn),相當(dāng)于又給摩爾定律續(xù)命了五年左右。摩爾定律說的是,每 18 到 24 個月,集成電路上可容納的元器件數(shù)目便會增加一倍,芯片的性能也會隨之翻一番。

我們知道,這個定律并非一定會發(fā)生的定理,而只是一個預(yù)測,這個預(yù)測是建立在半導(dǎo)體制程工藝能夠穩(wěn)步提升的情況下,但現(xiàn)在半導(dǎo)體產(chǎn)業(yè)依賴 FinFET 架構(gòu),已經(jīng)實現(xiàn)了 7nm 和 5nm 制程的芯片量產(chǎn),很多人買到的最新的 iPhone12、華為 Mate40 就采用的是 5nm 制程的芯片。

不過,隨著晶體管尺度向 5nm 甚至 3nm 邁進,F(xiàn)inFET 本身的尺寸已經(jīng)縮小至極限后,無論是鰭片距離、短溝道效應(yīng)、還是漏電和材料極限也使得晶體管制造變得難以完成。

現(xiàn)在,依托 FinFET 技術(shù),臺積電的芯片工藝制程的終點來到了 3nm,再向下就遇到瓶頸。根據(jù)報道,GAA 技術(shù)是 2006 年由科學(xué)技術(shù)研究院和國家納米晶圓中心開發(fā)的一種基于全能門 FinFET 技術(shù)的晶體管,而三星正率先在 3nm 工藝上采用了基于 GAA 技術(shù)開發(fā)的 MBCFET 架構(gòu)形態(tài)。出于穩(wěn)健考慮,臺積電則選擇在第一代 3nm 工藝將繼續(xù)用 FinFET 技術(shù),而 2nm 工藝上采用了三星一樣的 MBCFET 架構(gòu)。

臺積電在新制程上的進展,將為新一代芯片的速度效能提升 30%到 40%,功耗則將降低 20%-30%,相應(yīng)的,新制程的研發(fā)成本將高達 5 億美元,相較于 28nm 工藝的 0.6 億美元的成本,確實是直線上升。

現(xiàn)在,臺積電依靠在 EUV 微顯影技術(shù)和納米片堆疊關(guān)鍵技術(shù)上的積累,使得 2nm 制程的開發(fā)良率提升進度超出預(yù)期。

根據(jù)臺積電在最近召開的“2020 世界半導(dǎo)體大會”的官方說法,芯片制程工藝將繼續(xù)推進,摩爾定律將在 3nm、2nm、1nm 上繼續(xù)適用。根據(jù)目前透露的消息,2nm 芯片的生產(chǎn)布局,將在臺灣新竹進行建設(shè)和研發(fā)。

多管齊下,為領(lǐng)先制程布下完整技術(shù)圖譜

從上面的技術(shù)介紹來看,臺積電的 2nm 工藝采取的 GAA 制程架構(gòu),盡管并非自己研發(fā),其在 3nm 制程上,還沒有三星激進地采用 MBCFET 架構(gòu),但想要發(fā)揮 GAA 架構(gòu)優(yōu)勢,就必須要看到臺積電在保持工藝領(lǐng)先性和生產(chǎn)良率上的技術(shù)優(yōu)勢和積累。

比如,以 3nm 工藝為例,臺積電繼續(xù)采用 FinFET 架構(gòu)晶體管設(shè)計,一方面正是由于其研發(fā)團隊將 FinFET 的性能提高到了新的高度,與 5nm 相比,3nm 在速度上有 10-15%的提升,功耗有 25-30%的降低,而邏輯密度則提高了 1.7 倍,SRAM 密度也將提升 20%,另一方面是由于 3nm 可以在 2022 年下半年量產(chǎn),這樣能讓下單客戶實現(xiàn)技術(shù)的快速升級,率先推出領(lǐng)先的產(chǎn)品。

從臺積電的技術(shù)布局上,我們可以找出其在制程工藝上面的成功因素。

首先是其長期投入獲得領(lǐng)先的技術(shù)研發(fā)優(yōu)勢。比如,為配合新制程工藝的良率,臺積電在 Nano-Sheet 結(jié)構(gòu)上面,已經(jīng)成功生產(chǎn)出了 32 Mb nano-sheet 的 SRAM,在低電壓功耗上面具有明顯優(yōu)勢;在 2D 材料上,臺積電基于包括硫化鉬和硫化鎢在內(nèi)的的 2D 硫化材料獲得性能非常高的 On-current;在電源管理上,臺積電的研究人員用碳納米管嵌入到一個 CMOS 的設(shè)計中,用來替代 Power Gating 的控制電流作用,給未來的進一步微縮提供新的思路。

其次是臺積電形成的長期的技術(shù)合作產(chǎn)業(yè)鏈。ASML 作為早期和臺積電建立合作的光刻機供應(yīng)商,在為臺積電提供設(shè)備的同時,也得到來自臺積電的技術(shù)反饋。目前,臺積電在在 EUV 光刻技術(shù)的 OPC、光罩和光阻等多個方面都有投入,比如臺積電在 EUV 技術(shù)結(jié)合上,采用自對準(zhǔn)墊片獲得了業(yè)內(nèi)最小的 18nm 的 mental pitch,對晶體管微縮大有幫助。

再就是對工藝流程的優(yōu)化改造。為了應(yīng)對摩爾定律接近失效的危機,僅僅從微縮晶體管,提高密度以提升芯片性能的角度正在失效。臺積電推動了多項前段和后段的 3D 封裝技術(shù),來提升芯片性能。比如在芯片制造前段實現(xiàn)的 SOIC 3D 堆疊技術(shù),在后段實現(xiàn)的 CoWoS 和 InFo 的 3D 封裝技術(shù)。這些技術(shù)在幫助實現(xiàn)晶體管微縮的同時,進一步提高了良率。

此外,非常重要的一點就是臺積電在特殊制程上的長期積累。這可能是很少為人注意的一點。臺積電具有 MEMS、圖像傳感器、嵌入式 NVM,RF、模擬、高電壓和 BCD 功率 IC 方面的廣泛產(chǎn)線投入。同時,也在邏輯 IC 技術(shù)基礎(chǔ)上,加上先進的 ULL&SRAM、RF&Analog 以及 eNVM 技術(shù),以實現(xiàn)低功耗以及模擬技術(shù)的提升。特殊制程將推出 IoT 場景和 AI 場景設(shè)備的發(fā)展。

以上的一系列技術(shù)優(yōu)勢,得益于臺積電龐大的研發(fā)投入。據(jù)數(shù)據(jù),近幾年,臺積電每年的研發(fā)投入都達到 100 億美元。而臺積電在技術(shù)路線上的領(lǐng)先布局和長期巨額的研發(fā)投入,實際上跟其所創(chuàng)立的 Foundry 代工廠創(chuàng)新模式有關(guān),也和臺積電本身的所處的地緣、產(chǎn)業(yè)機遇期有關(guān)。

專注投入和自主研發(fā):臺積電的技術(shù)領(lǐng)先心法

我們看到,臺積電在 3nm 工藝的架構(gòu)路線穩(wěn)健推進和領(lǐng)先量產(chǎn),以及在 2nm 工藝上的架構(gòu)路線升級和順利推進,都源于其在整個半導(dǎo)體晶圓制造上的長期研發(fā)投入和技術(shù)積累。

而這給了我們一種錯覺,似乎完成這些動作就可以實現(xiàn)對半導(dǎo)體產(chǎn)業(yè)的主導(dǎo),能又一次延續(xù)摩爾定律的神話。但實際上,這既有臺積電創(chuàng)立之初所建立的獨特創(chuàng)新模式,也與臺積電在幾次關(guān)鍵技術(shù)路口的正確選擇有關(guān)。

畢竟,在臺積電三十多年的崛起之路上,始終橫亙著英特爾這樣的 IDM 整合元器件老前輩以及三星這個強勁的同行老對手,臺積電必須在一次次的挑戰(zhàn)中走對路、押對注,才能有幸活下來。

臺積電能夠取得領(lǐng)先工藝制程的根本原因在于,其率先創(chuàng)立的專門專注于芯片制造的代工廠(Foundry)模式。80 年代末,臺積電創(chuàng)立之初,原本是未來抓住美國“拆解”了日本半導(dǎo)體產(chǎn)業(yè)后的產(chǎn)業(yè)轉(zhuǎn)移的機會,但如果采用原有設(shè)計、制造和封裝一體化的 DIM 模式,將根本無法與歐美廠商競爭,也沒有那么雄厚的資金支持。

臺積電創(chuàng)始人張忠謀另辟蹊徑地拆解出“后端制造”這一個環(huán)節(jié),開始了代工廠模式。這一行業(yè)垂直化分工帶動了一批芯片設(shè)計公司的出現(xiàn),也為臺積電的專注制造工藝的發(fā)展提供了生存機會。從創(chuàng)立之初,臺積電不僅避免了和英特爾的正面競爭,而且還獲得了英特爾的第一筆訂單和工藝技術(shù)的指導(dǎo)。后面,基于 Foundry 模式的中立屬性,臺積電獲得了蘋果、高通、AMD 這些有著競爭關(guān)系的客戶的長期訂單。臺積電的模式從而使得整個產(chǎn)業(yè)鏈能夠?qū)Wl(fā)揮自身的優(yōu)勢,而臺積電則把全部資源重點投入到先進制程工藝和生產(chǎn)工藝的改進升級上面。

不過,臺積電的發(fā)展并非一帆風(fēng)順,而是在幾個關(guān)鍵技術(shù)關(guān)口的堅持自主研發(fā)和正確押注,才使其沒有在半導(dǎo)體的淘汰賽中落敗。

第一次關(guān)鍵抉擇是 2003 年,臺積電拒絕了 IBM 新開發(fā)的銅制程工藝,用自研的技術(shù)來打破了 IBM 的技術(shù)鉗制。第二次是 2004 年,臺積電準(zhǔn)備推動自己研制的“濕法光刻技術(shù)”,就在遭到日本尼康、佳能的一致抵制下,與當(dāng)時還是同處邊緣位置的荷蘭 ASML 一拍即合,實現(xiàn)了對光刻技術(shù)的顛覆式突破,從此也和 ASML 結(jié)下了革命的友誼。2004 年,臺積電就拿下了全球一半的芯片代工訂單,位列半導(dǎo)體行業(yè)規(guī)模前十。

第三次是在 2009 年的全球金融危機之時,臺積電深陷三星阻擊和業(yè)務(wù)衰退的雙重危機。此時重新回歸的張忠謀力挽狂瀾,召回已經(jīng)退休的蔣尚義,開始了擴員、擴研發(fā)的大舉反擊。當(dāng)時在 28 納米制程的關(guān)鍵技術(shù)上,臺積電選擇了后閘級方案,而非三星正在研發(fā)的前閘級方案,這一次正確的判斷,使得臺積電良率大幅提升,三星卻仍沒有進展。緊接著,臺積電用堅定的產(chǎn)線投入和人力打動了蘋果。幾年后,拿到了蘋果 A8 芯片的全部訂單,贏得了發(fā)展良機。

而此后,臺積電在人才、客戶、專利、技術(shù)路線上與三星展開激烈交鋒,一直持續(xù)至今。但臺積電在 7nm 工藝上取得領(lǐng)先之后,其領(lǐng)先優(yōu)勢一直保持至今。而雙方的下一個戰(zhàn)場,將在 3nm 工藝上展開。

從這些關(guān)鍵因素和眾多的關(guān)鍵環(huán)節(jié)上,我們可以總結(jié)出臺積電能夠取得先進工藝的技術(shù)領(lǐng)先的核心要點:

1、臺積電開創(chuàng)的 Foundry 模式,使其能夠在半導(dǎo)體產(chǎn)業(yè)中保持“中立”立場,能夠和不同 IC 設(shè)計廠商進行通力合作獲得先進的設(shè)計方案,又能夠心無旁騖地將只專注到晶圓制造的各個工藝環(huán)節(jié)中,獲得了行業(yè)垂直分工的專屬優(yōu)勢。

2、臺積電在初期確立的自主研發(fā)的路線和不遺余力的研發(fā)投入,先是擺脫了技術(shù)附庸的身份,又在后面一次又一次地擺脫技術(shù)專利的圍堵,以及實現(xiàn)領(lǐng)先工藝的反超。

3、除了自身努力的因素,臺積電背后身處的美國半導(dǎo)體產(chǎn)業(yè)所主導(dǎo)的分工格局,以及蘋果、高通等公司為鉗制韓國、三星和臺灣地區(qū)所給予臺積電的支持密不可分。訂單和市場需求始終是推動先進工藝技術(shù)升級的最終驅(qū)動力。

臺積電的技術(shù)領(lǐng)先,從現(xiàn)實競爭上,對我國的半導(dǎo)體產(chǎn)業(yè)來說,仍然是一場嚴(yán)峻的挑戰(zhàn)。受到美國長臂管轄鉗制的臺積電,短期內(nèi)難以在為華為海思這樣的先進 IC 設(shè)計企業(yè)生產(chǎn)芯片,同時也成為我國的芯片制造代工企業(yè)短期內(nèi)難以企及的競爭對手。

不過,臺積電的技術(shù)領(lǐng)先的經(jīng)驗,從產(chǎn)業(yè)共性上來說,仍然值得國內(nèi)的廠商學(xué)習(xí)。在全球半導(dǎo)體產(chǎn)業(yè)合作風(fēng)險充滿變數(shù)的當(dāng)下,練好內(nèi)功,專注核心工藝技術(shù)的研發(fā),將是在逆境中前行的不二心法。