應(yīng)用

技術(shù)

物聯(lián)網(wǎng)世界 >> 物聯(lián)網(wǎng)新聞 >> 物聯(lián)網(wǎng)熱點(diǎn)新聞
企業(yè)注冊(cè)個(gè)人注冊(cè)登錄

缺“芯”難題如何攻克?

2021-03-24 10:01 電子信息產(chǎn)業(yè)網(wǎng)

導(dǎo)讀:基于此,半導(dǎo)體產(chǎn)業(yè)鏈中封裝環(huán)節(jié)的價(jià)值與重要性愈發(fā)凸顯。

全球半導(dǎo)體產(chǎn)業(yè)蓬勃發(fā)展,先進(jìn)封裝在其中凸顯了什么價(jià)值?特色工藝起到了何種作用?在全球半導(dǎo)體供應(yīng)緊張的背景下,業(yè)界該如何攻克缺“芯”難題?我們似乎嗅到了未來(lái)半導(dǎo)體技術(shù)發(fā)展的兩個(gè)重要趨勢(shì)。

封裝重要性愈發(fā)凸顯

當(dāng)前,芯片制造工藝已經(jīng)達(dá)到了5nm節(jié)點(diǎn),逐漸逼近物理極限。中國(guó)工程院院士、浙江大學(xué)微納電子學(xué)院院長(zhǎng)吳漢明談道,在后摩爾定律時(shí)代,業(yè)界已經(jīng)不再單純地只以線寬、線距和集成度的尺寸來(lái)“論英雄”,而是更多地考慮如何提升系統(tǒng)的性能以及如何在整個(gè)微系統(tǒng)上提升集成度?;诖?,半導(dǎo)體產(chǎn)業(yè)鏈中封裝環(huán)節(jié)的價(jià)值與重要性愈發(fā)凸顯。

智能手機(jī)、汽車電子、5G、AI等新興市場(chǎng)對(duì)封裝環(huán)節(jié)提出了更高要求,使得封裝技術(shù)朝著系統(tǒng)集成、三維、超細(xì)節(jié)距互連等方向發(fā)展,因此先進(jìn)封裝就成為封裝領(lǐng)域的重要發(fā)展趨勢(shì)。其中,SIP系統(tǒng)級(jí)封裝是當(dāng)下最先進(jìn)的一種主流封裝技術(shù)。據(jù)悉,該技術(shù)可將多顆不同功能的芯片整合到一個(gè)模塊當(dāng)中,以實(shí)現(xiàn)一顆芯片兼具多種功能。SIP系統(tǒng)級(jí)封裝既可以克服芯片系統(tǒng)集成過(guò)程中面臨的工藝兼容、信號(hào)混合、噪聲干擾和電磁干擾等問(wèn)題,還可以降低芯片系統(tǒng)集成的成本,是未來(lái)先進(jìn)封裝領(lǐng)域最重要的技術(shù)趨勢(shì)之一。

先進(jìn)封裝的另一個(gè)發(fā)展路徑是晶圓級(jí)封裝。長(zhǎng)電科技首席執(zhí)行長(zhǎng)鄭力在接受記者采訪時(shí)表示,晶圓級(jí)封裝若要繼續(xù)向多維方向發(fā)展,比如2D、2.5D、3D方向,就必然會(huì)與晶圓廠進(jìn)行合作。鄭力表示,這是因?yàn)榫A廠具備在晶圓上進(jìn)行TSV(硅通孔)工藝制造的能力。在硅轉(zhuǎn)接板時(shí),晶圓廠也能做Silicon Interposer(硅中介層),即高密度布線的晶圓。而封測(cè)廠則要進(jìn)行RDL(晶圓重布)的過(guò)程,之后再把布線在封測(cè)的層次上進(jìn)行高密度重新整合。

鄭力向記者指出,晶圓廠在晶圓上的工藝更加先進(jìn),這一點(diǎn)對(duì)封裝測(cè)試領(lǐng)域向晶圓級(jí)封裝方向發(fā)展有非常大的幫助,因?yàn)榫A廠擁有這方面的設(shè)備,也擅長(zhǎng)這方面的工藝?!熬A廠與封裝廠的配合,會(huì)加速晶圓級(jí)封裝向更加廣泛的應(yīng)用和更具深度的技術(shù)創(chuàng)新方向發(fā)展。我們非常愿意看到雙方有這樣的互動(dòng)。”鄭力說(shuō)道。

微型化和集成化也是先進(jìn)封裝發(fā)展的兩大趨勢(shì)。佰維存儲(chǔ)智能終端存儲(chǔ)芯片事業(yè)部負(fù)責(zé)人劉陽(yáng)認(rèn)為,在存儲(chǔ)器封裝領(lǐng)域,超薄die(晶粒)與異構(gòu)集成工藝是產(chǎn)業(yè)發(fā)展的主要?jiǎng)幽?。?dāng)前,先進(jìn)封裝正在賦能萬(wàn)物互聯(lián),有望在射頻芯片、人工智能、物聯(lián)網(wǎng)、移動(dòng)智能終端等多個(gè)領(lǐng)域大放異彩。

特色工藝擔(dān)當(dāng)大任

后摩爾定律時(shí)代,先進(jìn)制程的研發(fā)陷入瓶頸。相對(duì)而言,特色工藝(如MEMS、射頻、高電壓和電源管理等)不完全追求器件尺寸的縮小,具備非尺寸依賴、工藝相對(duì)成熟等優(yōu)勢(shì),是后摩爾定律時(shí)代提升芯片性能的“利器”。臺(tái)積電(南京)有限公司總經(jīng)理羅鎮(zhèn)球曾這樣解釋特色工藝與芯片性能間的關(guān)系:“如果把芯片的功能比作意欲傳遞的信號(hào),特色工藝就是傳遞信號(hào)的WiFi,所以只有不斷推進(jìn)特殊工藝,才能更好地發(fā)揮芯片的性能。”

近年來(lái),新興技術(shù)帶動(dòng)的新興市場(chǎng)對(duì)特色工藝的需求量持續(xù)暴漲。隨著半導(dǎo)體產(chǎn)業(yè)發(fā)展和終端應(yīng)用的日益多樣化,市場(chǎng)對(duì)差異化工藝的需求也水漲船高。尤其對(duì)于智能卡、電源管理芯片和分立器件這些占絕對(duì)多數(shù)的半導(dǎo)體芯片種類而言,從制造成本、生產(chǎn)穩(wěn)定性和交付可靠性等方面來(lái)看,特色工藝是更優(yōu)的選擇。

正如吳漢明在會(huì)上所言,特色工藝在后摩爾定律時(shí)代大有可為。興業(yè)證券數(shù)據(jù)顯示,83%的市場(chǎng)在10nm以上節(jié)點(diǎn)的創(chuàng)新空間巨大。現(xiàn)階段,國(guó)內(nèi)企業(yè)雖然在先進(jìn)制程的研發(fā)方面不占優(yōu)勢(shì),但特色工藝面向的廣闊市場(chǎng)同樣為國(guó)內(nèi)企業(yè)搭建了大展拳腳的舞臺(tái)。目前,中芯國(guó)際大力擴(kuò)充在天津、深圳、寧波、紹興等地的8英寸產(chǎn)能,積極投身于特色工藝的研發(fā)中。此外,專注于差異化技術(shù)晶圓代工的華虹宏力也已建立了多個(gè)差異化的工藝平臺(tái),包括嵌入式非易失性存儲(chǔ)器(eNVM)、功率分立器件(discrete)、模擬和電源管理IC、射頻(RF)等。

值得一提的是,碳化硅(SiC)大功率器件是特色工藝市場(chǎng)的一個(gè)重要爆發(fā)點(diǎn),相關(guān)技術(shù)基本成熟,市場(chǎng)正處于快速起量的臨界點(diǎn)。作為功率半導(dǎo)體最大的下游市場(chǎng),新能源汽車將為以碳化硅為代表的功率半導(dǎo)體提供強(qiáng)勁且可持續(xù)的發(fā)展動(dòng)力。聞泰科技副總裁吳友文表示,近年來(lái),在汽車電子化的大趨勢(shì)下,汽車功率半導(dǎo)體市場(chǎng)逐漸成為發(fā)展最快的應(yīng)用市場(chǎng)之一,動(dòng)力系統(tǒng)電子化、車內(nèi)網(wǎng)絡(luò)和ADAS系統(tǒng)等已成為推動(dòng)該市場(chǎng)蓬勃發(fā)展的核心因素。

隨著功率器件重要性的日益提高,功率模塊的新設(shè)計(jì)與技術(shù)就變得十分關(guān)鍵。然而,如瀚薪科技CEO李傳英所說(shuō),VMOS(V型槽MOS場(chǎng)效應(yīng)管)器件相比于UMOS(U型金屬氧化物半導(dǎo)體)器件的制程難度更大,非IDM廠商難以實(shí)現(xiàn)其二次外延生長(zhǎng)的要求,導(dǎo)致目前沒(méi)有廠商能實(shí)現(xiàn)該產(chǎn)品的量產(chǎn)。