應(yīng)用

技術(shù)

物聯(lián)網(wǎng)世界 >> 物聯(lián)網(wǎng)新聞 >> 物聯(lián)網(wǎng)熱點(diǎn)新聞
企業(yè)注冊個(gè)人注冊登錄

3nm后,光罩何去何從?

2022-01-27 20:02 半導(dǎo)體行業(yè)觀察
關(guān)鍵詞:光罩芯片

導(dǎo)讀:在semiengineering的這個(gè)采訪中,Siemens Digital Industries Software的 MPC 和掩模缺陷管理總監(jiān) Peter Buck ;Hoya 技術(shù)戰(zhàn)略高級總監(jiān) Bryan Kasprowicz;和D2S的首席執(zhí)行官 Aki Fujimura就光罩的相關(guān)問題進(jìn)行了討論。

  因?yàn)楦咝阅苄酒男枨螅酒圃旃に囈恢币呀?jīng)在摩爾定律的指導(dǎo)下,推到了3納米,隨之而來的是各種制造挑戰(zhàn)。在semiengineering的這個(gè)采訪中,Siemens Digital Industries Software的 MPC 和掩模缺陷管理總監(jiān) Peter Buck ;Hoya 技術(shù)戰(zhàn)略高級總監(jiān) Bryan Kasprowicz;和D2S的首席執(zhí)行官 Aki Fujimura就光罩的相關(guān)問題進(jìn)行了討論。

  SE:我們真的需要擴(kuò)展節(jié)點(diǎn)并開發(fā) 3nm 及以上技術(shù)嗎?摩爾定律正在終結(jié),還是正在放緩?

  Fujimura:是的,至少在半導(dǎo)體市場的高性能計(jì)算細(xì)分市場中,我們肯定需要每瓦特和每美元更多的計(jì)算量。智能家居產(chǎn)品和其他物聯(lián)網(wǎng)設(shè)備更喜歡更低的成本而不是更高的性能。但天氣預(yù)報(bào)、比特幣挖掘或深度學(xué)習(xí)等重度模擬正在推動(dòng)對 3nm 及以上技術(shù)的需求。幸運(yùn)的是,我們將繼續(xù)擴(kuò)大規(guī)模,盡管摩爾定律正在發(fā)生變化。該行業(yè)跨學(xué)科和競爭對手之間的協(xié)作能力至關(guān)重要。這樣,行業(yè)才能繼續(xù)取得進(jìn)步。這是一件好事,因?yàn)槲覀冊?D2S 所做的一切都取決于它。我們熱切期待下一代 GPU、CPU、內(nèi)存、通信芯片等,所有這些都將繼續(xù)按照摩爾定律擴(kuò)展。對于極紫外 (EUV) 光刻時(shí)代的逆光刻技術(shù) (ILT:inverse lithography technology ),多年來我們需要更強(qiáng)大的機(jī)器來提供更優(yōu)質(zhì)的晶圓。即使是現(xiàn)在,我們也可以使用 10 倍以上的計(jì)算能力。由于我們需要我們的技術(shù)來針對越來越小的幾何形狀,因此計(jì)算需求在此基礎(chǔ)上不斷擴(kuò)大。毫無疑問。我們需要更多的計(jì)算能力。

  SE:2018 年,三星和臺(tái)積電為 7nm 工藝節(jié)點(diǎn)帶來了 EUV 光刻技術(shù)?,F(xiàn)在,使用 EUV,芯片制造商正在生產(chǎn) 5nm 的芯片。盡管如此,我們?nèi)匀辉谶@里聽到有關(guān)mask 3D的消息。那是怎么回事?

  Kasprowicz:在 EUV 中,您有反射光學(xué)元件。如果你將光垂直于鏡子曝光,它會(huì)直接回到 EUV 掃描儀的光學(xué)柱中,并且不會(huì)擊中晶圓。例如,當(dāng)您照鏡子時(shí),您看到的是自己的倒影。如果您在反射式光學(xué)元件下的 EUV 掃描儀內(nèi)執(zhí)行此操作,也會(huì)發(fā)生同樣的事情。所以在 EUV 中,光線必須以一定角度照射到掩模上。因?yàn)槟阌幸粋€(gè)角度,所以 EUV 掩模和吸收器(absorber)的深度和高度很重要。在某些時(shí)候,光罩上的吸收器可能會(huì)變得太高。在這種情況下,它不僅僅是“X”和“Y”,而是現(xiàn)在您正在查看它的“Z”組件。因此,當(dāng)您為其添加深度時(shí),您會(huì)開始獲得一些所謂的陰影效果。如果這不是問題,我們可能會(huì)在 EUV 掩模上使用更厚的吸收器,即使在 7nm 或人們開始實(shí)施 EUV 光刻的時(shí)候。因此,我們試圖使吸收器盡可能薄,以減輕這些mask 3D 效果。因此,您使用的吸收器越薄,您對這些 3D 效果(例如shadowing, telecentricity和pitch walking等)的影響就越小。但這也有很多其他的含義。具體來說,當(dāng)您嘗試使用較小的功能進(jìn)行 OPC(光學(xué)鄰近校正)時(shí),這些效果確實(shí)會(huì)產(chǎn)生影響。隨著音高的收緊,這些情況會(huì)變得更糟。但這也有很多其他的含義。具體來說,當(dāng)您嘗試使用較小的功能進(jìn)行 OPC(光學(xué)鄰近校正)時(shí),這確實(shí)會(huì)產(chǎn)生影響。

blob.png

  圖 1:EUV 掩模的橫截面。EUV 光以一定角度照射掩模,產(chǎn)生陰影或mask 3D 影響。

  SE:今天,芯片制造商正在研發(fā) 3nm 工藝。最初,在 3nm,芯片制造商將繼續(xù)使用現(xiàn)有的具有 0.33 數(shù)值孔徑 (NA) 的 EUV 光刻掃描儀。這對 3nm 的 EUV 掩模意味著什么?mask 3D 影響怎么樣?

  Hayashi:我們將在這里繼續(xù)使用 0.33 NA EUV。那時(shí),高數(shù)值孔徑的 EUV 還沒有準(zhǔn)備好使用?;旧?,客戶將使用 0.33 NA 的 EUV 雙圖案。因此,在這種情況下,掩模分辨率并不是那么具有挑戰(zhàn)性。但客戶希望提高對比度和圖案保真度。有了這些要求,我們應(yīng)該選擇更薄的薄膜或吸收體來減少 3D 效果。我們還應(yīng)該考慮使用相移材料來提高對比度和吞吐量。此時(shí),掩膜類型取決于應(yīng)用層。例如,相移掩模非常適合接觸孔類型的應(yīng)用。在這一領(lǐng)域,掩膜制造和掩膜材料在生產(chǎn)技術(shù)以及新材料方面都面臨著一些挑戰(zhàn)。

  SE:今天,在研發(fā)方面,該行業(yè)正在開發(fā)一種稱為High NA EUV 的下一代 EUV 光刻技術(shù),計(jì)劃于 2024/2025 年推出。高數(shù)值孔徑 EUV 對光掩模行業(yè)意味著什么?

  Fujimura:High-NA EUV 是下一代 EUV 光刻技術(shù),可將數(shù)值孔徑 (NA) 增加到 0.55。由于可寫分辨率是波長除以 NA,因此較大的 NA 可以跨制造變化準(zhǔn)確地寫入較小的特征。實(shí)現(xiàn)此目的的方法之一是使用變形 4X:8X 掩膜。傳統(tǒng)的掩膜是 4X:4X 放大倍率。無論如何,用于High NA EUV 的掩模暴露了晶圓上一半的場大小。用于High NA 的掩模與傳統(tǒng)掩模的物理尺寸相同,以保持掩模基礎(chǔ)設(shè)施相同。這樣一來,您就可以在掩模商店的同一條生產(chǎn)線上生產(chǎn)傳統(tǒng)掩模和High NA 掩模。

  Kasprowicz:目前的想法是,我們應(yīng)該能夠在早期使用和利用為先進(jìn)的 0.33 NA EUV 開發(fā)的現(xiàn)有空白材料。正在開發(fā)的現(xiàn)有先進(jìn)二元或相移掩模應(yīng)該能夠簡單地轉(zhuǎn)換為High NA EUV 的起點(diǎn)。集成用于High NA 的掩模解決方案不應(yīng)進(jìn)行大量初始開發(fā)工作。

  隨著我們可能再增加一個(gè)節(jié)點(diǎn),我們將不得不開始尋找一種替代的多層 EUV blank,以提高telecentricity,減少光線進(jìn)入鏡面的穿透深度。也許那時(shí)也有不同的上限結(jié)構(gòu)。如果需要新的多層,二元 EUV 掩模結(jié)構(gòu)也可能隨時(shí)間改變。隨著我們開始縮小特征尺寸,相移 EUV 掩??赡軙?huì)發(fā)生變化,原因與 0.33 NA 相同。我們可以在那里實(shí)施不同的標(biāo)線增強(qiáng)技術(shù) (RET:reticle enhancement technology ) 結(jié)構(gòu)。在大多數(shù)情況下,為先進(jìn)的 0.33 NA EUV 掩模開發(fā)的許多元素應(yīng)該對High NA 早期階段的很大一部分有用。也許幾年后,我們將不得不考慮對blank進(jìn)行一些更改。目前仍在討論有關(guān)過渡時(shí)間的問題。當(dāng)然,就blank本身而言,事情會(huì)變得更加緊張。平整度規(guī)格將變得更加嚴(yán)格。粗糙度規(guī)格將變得更加嚴(yán)格。然后,您會(huì)得到諸如波長在多層反射鏡反射率上的擴(kuò)散之類的東西。這些事情將不得不變得更加嚴(yán)格。

  Hayashi:我們正致力于開發(fā)用于二元 EUV 掩模和High NA EUV 相移掩模的新材料。這些材料非常不尋常。例如,對于二元掩模,我們必須為吸收體選擇High k 材料。目前,用于 EUV 掩模的吸收器是基于鉭材料的。對于下一代二元掩模,我們正在研究鎳等其他材料。然后,相移掩模將需low n材料,包括釕或鉑。這些材料基本上很難蝕刻。因此,掩膜生產(chǎn)技術(shù)面臨一些重大挑戰(zhàn)。我們也可能需要一種新的掩模制造光刻膠。EUV 光刻也是如此。對于掩模,我們需要更高的分辨率,即使在 4X 掩模上也可能小于 20nm。我們需要一種非常薄的高分辨率掩模光刻膠。它不會(huì)是當(dāng)前材料。也許我們必須使用金屬氧化物類型的材料,就像許多人在 EUV 光刻中尋找的那樣。因此,掩模制造面臨著幾個(gè)材料和工藝挑戰(zhàn)。

  Buck:掩模寫入器將面臨持續(xù)的壓力,要求他們在不失去現(xiàn)有吞吐量優(yōu)勢的情況下提高分辨率?,F(xiàn)在,我們看到了 30nm 的最小特征尺寸。如果它們要達(dá)到 20nm 左右,則需要改進(jìn)整個(gè)掩模成像基礎(chǔ)設(shè)施,例如抗蝕劑、掩模寫入器和 MPC(掩模工藝校正),以滿足這些要求。

  Hayashi:我對高數(shù)值孔徑 EUV 的變形光學(xué)很好奇。使用該鏡頭,High NA 使用 4X 和 8X 放大倍率。也許它不會(huì)對掩膜制造產(chǎn)生太大影響。但如何衡量或保證掩膜質(zhì)量是這里的挑戰(zhàn)之一。例如,如何測量斜線寬度,它的角度會(huì)與設(shè)計(jì)的不同。具有變形光學(xué)元件的 EUV 航空圖像顯微鏡將有助于更輕松地評估晶圓上的圖像,包括缺陷可印刷性以及不同的放大軸。

  Kasprowicz: 高數(shù)值孔徑的掃描儀肯定有更好的分辨率。但是缺陷尺寸要求和缺陷規(guī)格變得很小。從掩模blank的角度來看,您需要更好的光學(xué)器件來進(jìn)行光化掩模blank檢測。波長不會(huì)改變,但您需要更好的光學(xué)器件,并且您可能需要更好的載物臺(tái)來實(shí)現(xiàn)定位精度。到目前為止,對于 EUV 掩模來說,實(shí)現(xiàn)零缺陷是相當(dāng)困難的。如果您找到它們,數(shù)量有限仍然可以。您只需能夠使用 EUV 掩模本身上的基準(zhǔn)標(biāo)記或?qū)R標(biāo)記來引用它們。然后,您發(fā)送該信息。這就是毛坯檢測工具的階段精度發(fā)揮作用的地方。一旦發(fā)現(xiàn)缺陷,您必須在合理范圍內(nèi),以便客戶在執(zhí)行缺陷緩解計(jì)劃時(shí)可以找到它們。然后在圖案檢查方面,有一些挑戰(zhàn)。關(guān)于變形鏡頭的影響存在一些不確定性。當(dāng)您查看變形寬銀幕并且有缺陷時(shí),由于放大倍率的差異,您在一個(gè)方向上可能比在另一個(gè)方向上更寬容。我期望必須做一些廣泛的工作才能真正理解這一點(diǎn)??赡茉赽lank或圖案檢查期間執(zhí)行原位 AIMS 檢查。當(dāng)然,了解如何在掩模生產(chǎn)的后期圖案階段對這些缺陷進(jìn)行表征和分類將是關(guān)鍵。當(dāng)我們談到相移時(shí),它變得更加困難,在那里你有一些與之相關(guān)的傳輸和相位。這將與二進(jìn)制不同,因此需要理解。

  Buck:變形成像有許多挑戰(zhàn)。例如,當(dāng)您在 OPC 和掩模制作之間進(jìn)行變形縮放時(shí),45° 角會(huì)變成傾斜邊緣。曲線結(jié)構(gòu)的變形縮放甚至更加復(fù)雜。掩碼線性簽名的效果在“X”和“Y”中是不同的??赡艽嬖谂c軸相關(guān)的掩模精度、線性度和最小特征尺寸規(guī)格。關(guān)鍵特征可能會(huì)有一個(gè)首選軸,這會(huì)在一定程度上影響設(shè)計(jì)方法。如果將 AIMS 用于缺陷可印刷性驗(yàn)證,則需要變形 AIMS 工具,這會(huì)增加生產(chǎn)變形掩模的成本。在變形 EUV AIMS 工具可用之前,缺陷可印刷性模擬可能在這里起到臨時(shí)解決方案的作用。